testability

英 文 testability

中 文 可測試性

出 處 工業工程名詞

相關詞匯

Testability 可驗證性 【教育大辭書】

testability 可測試性 【資訊與通信術語辭典】

VLSI communication processor designed for testability 超大型積體電路通訊處理機可測試性設計 【電子工程】

testability 可試性 【電機工程】

Design for testability 可測試性設計 【電子工程】

testability 可測試性 【電子工程】

Asynchronous testability 非同步可試性 【電子工程】

Design for testability 可測試性設計 【電機工程】

software testability 軟體可測試性 【電子計算機名詞】

testability 可測試性 【電子計算機名詞】

testability 可測試性 【設計學】

design for testability 可測試性設計 【電子計算機名詞】

testability 可試性 【核能名詞】

testability 可測試性 【工業工程名詞】